Encoder Mode - 1794-VHSC

The encoder mode allows the module to read incoming quadrature pulses and return them to the controller as a binary number (0 - 16,777,215).
In this mode, the module accepts two-phase quadrature feedback, and counts up or down according to the relationship between the two phases.
  • Encoder X1 mode
    – quadrature input signals count on the leading edge or the trailing edge of channel A for a bidirectional count. The phase relationship between Channel A and Channel B determines the direction. If channel A is leading and channel B is floating, the count direction is up; if channel A is lagging and channel B is high, the count direction is down.
  • Encoder X2 mode
    – quadrature input signals count on the leading edge and the trailing edge of channel A for a bidirectional count. Channel B determines the direction. If B is low (i.e., floating), the count direction is up; if B is high, the count direction is down.
  • Encoder X4 mode
    – quadrature input signals count on the leading edge and the trailing edge of channel A and channel B for a bidirectional count. Channel B determines the direction. If B is low (i.e., floating), the count direction is up; if B is high, the count direction is down.
The module can count either up or down, depending on the condition of the B input for each counter. In encoder applications, the counter increments on the leading edge of input A, while input B determines the direction of the count.
You also have the option of X1, X2, and X4 multiplying of the input pulses. The diagram below shows the relationship between inputs A and B for forward and reverse directions in encoder applications.
1794VHSCencoder (2)
Provide Feedback
Have questions or feedback about this documentation? Please submit your feedback here.